[Vivado] Xilinx Design Tools, already exists 재설치 이슈

[vivado] Xilinx Design Tools, already exists

enter image description here

[Vivado] Xilinx Design Tools, already exists 재설치 이슈

우분투에서 Vivado, Vitis 를 설치하다가 발생한 문제로 찾아보니 비슷한 상황이 많은 듯하여 정리해둔다.

상황은 Vivado나 Vitis를 설치할 때 설치할려다가 취소하고 다시 설치할려고 하는데 이미 같은 경로에 프로그램이 존재한다고 다른 곳에 설치하라고 하는 것이다. (-_-;)
실제 설치할려고 했던 /tools/Xilinx 폴더는 삭제했는데도 문제가 있었다.

폴더 관리(?), 강박증(?) 같은 것 때문에 여러 버전들이 한 경로에서 관리되는 것이 좋아 좀 알아봤더니 리눅스에서는 설치 시 관련 환경파일을 따로 저장하는 공간들이 있었다.
관련링크 : https://support.xilinx.com/s/feed/0D52E00006hpimmSAA?language=en_US

때문에 몇 가지를 시도해서 해결 할 수 있다.

아래와 같은 경로에 존재하는 폴더를 삭제 한다.

/home/<user>/.Xilinx/Common/<version> (e.g./home/maduinos/.Xilinx/Common/2023.2)

필자는 위와 같이 먼저 했는데 동일 증상이 발생하여 다음 작업도 진행했다.

루트 권한으로 아래 경로의 폴더를 junk로 변경하는 것이다.

sudo mv .config/menus/applications-merged/Xilinx\ Design\ Tools.menu junk

위 두 가지를 진행하고 문제 없이 재설치를 할 수 있었다.

끝 :)

도움이 되셨다면 더 좋은 정보 공유를 위해 광고 클릭 부탁 드립니다 :)

댓글 쓰기

0 댓글