9월, 2023의 게시물 표시전체 보기
[LIVE] 회로설계부터 디버깅까지 ChatGPT ∙ FPGA를 활용한 임베디드 시스템 설계 방법
라즈베리파이5가 출시되었습니다!!
[VerilogHDL] 09_시스템 태스크_$urandom_range
[Ubuntu] gtkterm 시리얼 통신
[QnA] Xilinx 에서 최신 툴을 사용하는게 좋나요?
[VerilogHDL] 09_시스템 태스크_$random
[VerilogHDL] 09_시스템 태스크_$time
[VerilogHDL] 09_시스템 태스크_$monitor
[VerilogHDL] 09_시스템 태스크_$stop
[VerilogHDL] 09_시스템 태스크_$dispaly
[QnA] 라즈베리파이4 2GB vs. 4GB 어떤 것을 선택?
[Writecfgmem 68-5] Cannot overwrite file xx.prm. Use -force flag.
[VerilogHDL] 09_시스템 태스크
[QnA] FPGA 공부하기 위한 보드 구매 방법
[VerilogHDL] 08_컴파일 지시어_pragma
[RPi] chromium is not supported on raspberry pi zero w
라즈베리파이 전용 LCD 사용 방법
[VerilogHDL] 08_컴파일 지시어_ifdef과 endif
[QnA] SPI 통신에서 MISO 핀이 Hi-Z 인 이유
[VerilogHDL] 08_컴파일 지시어_define
[CubeMX] UART
[CubeMX] INTERRUPT
[VerilogHDL] 08_컴파일 지시어_include
[CubeMX] GPIO
[VerilogHDL] 08_컴파일 지시어_timescale
[VerilogHDL] 08_컴파일 지시어
ERROR: [XSIM 43-3217]
[QnA] 어떤 오픈하드웨어를 사용하는 것이 좋은가요?(아두이노, NUCLEO, ETC.)
게시물 더보기 검색결과 없음